summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorVegard Storheil Eriksen <zyp@jvnv.net>2015-03-13 07:56:42 +0100
committerVegard Storheil Eriksen <zyp@jvnv.net>2015-03-13 07:56:42 +0100
commit950a5d732f769fda4cf84adf808ea15a697b1bfb (patch)
treeda01b723e99329559f1129aceea5181c9be25b05
parent248eb499f74df0bf0be39812e3f55c05d58c7b21 (diff)
IIDX TT
-rw-r--r--main.cpp6
1 files changed, 4 insertions, 2 deletions
diff --git a/main.cpp b/main.cpp
index e4c9719..931485e 100644
--- a/main.cpp
+++ b/main.cpp
@@ -562,6 +562,7 @@ int main() {
usb.write(1, (uint32_t*)&report, sizeof(report));
+ /*
uint32_t ps_state = (1 << 5) | (1 << 6) | (1 << 7);
ps_state |= buttons & (1 << 0) ? 1 << 12 : 0; // B1
ps_state |= buttons & (1 << 1) ? 1 << 13 : 0; // B2
@@ -574,8 +575,8 @@ int main() {
ps_state |= buttons & (1 << 6) ? 1 << 8 : 0; // B9
ps_state |= buttons & (1 << 10) ? 1 << 0 : 0; // select
ps_state |= buttons & (1 << 9) ? 1 << 3 : 0; // start
+ */
- /*
uint32_t ps_state = 0;
ps_state |= buttons & (1 << 0) ? 1 << 15 : 0; // B1
ps_state |= buttons & (1 << 1) ? 1 << 10 : 0; // B2
@@ -586,7 +587,8 @@ int main() {
ps_state |= buttons & (1 << 6) ? 1 << 7 : 0; // B7
ps_state |= buttons & (1 << 10) ? 1 << 0 : 0; // select
ps_state |= buttons & (1 << 9) ? 1 << 3 : 0; // start
- */
+ ps_state |= buttons & (1 << 11) ? 1 << 4 : 0; // TT+
+ ps_state |= buttons & (1 << 12) ? 1 << 6 : 0; // TT-
spi_ps.set_state(ps_state);
}